Офіційний вісник України

06:08 27.04.2024

25.03.2020 16:27

Samsung объявила об отгрузке миллиона первых в отрасли чипов EUV DRAM

Samsung Electronics объявляет о производстве и отгрузке 1 млн модулей первой на рынке памяти (D1x) DDR4 (Double Date Rate 4) DRAM, произведенных с использованием технологии EUV (литографии в глубоком ультрафиолете). Модули прошли валидирование крупн...

Samsung объявила об отгрузке миллиона первых в отрасли чипов EUV DRAM

Читайте полный текст на сайте www.crn.ru

Samsung отгрузила миллион чипов EUV DRAM Компания Samsung Electronics объявила о производстве и отгрузке 1 млн модулей первой на рынке памяти (D1x) DDR4 (Double Date Rate 4) DRAM, произведенных с использованием технологии EUV (литографии в глубоком ультрафиолете). Новинки прошли валидирова...

Samsung начинает массовое производство первой в отрасли 16-Гбайт LPDDR5 DRAM Samsung Electronics сегодня объявила о начале массового производства первого в отрасли 16-гигабайтного (GB) LPDDR5 мобильного DRAM-пакета для смартфонов следующего поколения премиум-класса. После массового производства первой в отрасли LPDDR5 объемо...

Преимущества ухода за больными после инсульта в пансионате После инсульта многие люди оказываются в трудной ситуации, требующей особого внимания и ухода. Нередко родные не могут предоставить необходимую помощь, и в таких случаях пансионат для пожилых становится настоящим спасением. В чем заключается нужда б...

Производители чипов ликуют: цены DRAM растут 11 дней подряд Спотовые рыночные цены на микросхемы DRAM, несомненно, растут: эта тенденция наблюдается уже в течение 11 дней подряд. Безусловно, это хороший знак для производителей чипов. Спотовая цена 8-гигабитной DDR4 DRAM по состоянию на прошлую пятницу достиг...

В твердотельных накопителях Samsung 980 нет DRAM и PCIe 4.0 По сообщению источника, компания Samsung собирается добавить в свой ассортимент твердотельных накопителей модель Samsung 980 типоразмера M.2 с поддержкой NVMe. Новинка, похожая названием на Samsung 980 Pro, относится к бюджетному сегменту. В отличие...

Подъем крупногабаритных грузов - сила и точность в каждом движении Перемещение крупногабаритных и тяжелых предметов – задача, с которой не всегда справится самостоятельно. Случаются ситуации, которые требуют помощи профессионалов, например переезд в новую квартиру или доставка и подъем крупногабаритных грузов. Пере...

Samsung занимает 44,1% мирового рынка DRAM Компания Samsung Electronics сохранила доминирующее положение на мировом рынке DRAM в первом квартале 2020 года, несмотря на снижение выручки на фоне пандемии COVID-19. По сравнению с предыдущим кварталом рынок сократился на 4,6%, до 14,8 млрд долла...

Samsung разработала память LPDDR5X DRAM для систем 5G и ИИ Компания Samsung Electronics объявила сегодня о разработке первых в отрасли 14-нанометровых 16-гигабитных чипов памяти стандарта Low Power Double Data Rate 5X (LPDDR5X) DRAM....

Samsung отгрузила 1 млн моделей памяти DDR4 DRAM Сейчас корейский производитель занимается созданием модулей для дальнейшего их использования в мобильных устройствах, серверах и высокопроизводительных персональных компьютерах....

TSMC объявила дату выпуска 4-нм чипов для смартфонов TSMC, одна из ведущих компаний по изучению и производству полупроводниковых изделий, сообщила о расширении ассортимента фирменной продукции. Новые микросхемы, дата выпуска которых недавно была объявлена [...]...

Samsung запустила производство 16 Gb модулей памяти LPDDR5 DRAM Компания Samsung, являющаяся одним из мировых лидеров по производству полупроводников, объявила, что она приступила к серийному производству первых в отрасли 16 Gb чипов оперативной памяти LPDDR5 (10 нм) для смартфонов премиум-класса. Скорость перед...

В Samsung надеются на скорое восстановление рынка памяти DRAM По предварительным оценкам, операционная прибыль компании за четвертый квартал составила 6 млрд долл., что на треть меньше, чем в предыдущем году, но больше, чем ожидали аналитики. Цены на модули памяти достигли нижней точки, считают в компании Sams...

В Samsung рассчитывают на высокий спрос на память DRAM и смартфоны Компания планируют вновь начать крупные приобретения: у нее накопились значительные свободные денежные средства. Хотя спрос на микросхемы памяти в текущем квартале останется высоким, в Samsung Electronics полагают, что из-за укрепления корейского во...

Samsung анонсировала самую быструю память LPDDR5X DRAM Компания Samsung объявила о разработке LPDDR5X в качестве улучшенной версии LPDDR5, и сегодня производитель объявил, что чипы DRAM завершили проверку....

Засуха на Тайване достигла критического уровня для полупроводниковой отрасли — дефицит чипов может усилиться Президент Тайваня Цай Инь-Вень (Tsai Ing-Wen) призвал страну к экономии воды, поскольку островное государство столкнулось с самой сильной засухой за последние 60 лет. Цай отметил, что засуха сильно ударила по городу Тайчжун, где расположен производс...

Длительное отключение электроэнергии на заводе Samsung повредило DRAM и NAND Отключение электроэнергии на несколько минут остановило производство на заводе Samsung Electronics в Хвасоне, Южная Корея, согласно сообщению Reuters. Это остановило некоторые производственные линии флэш-памяти DRAM и NAND, и также перебои в работе,...

Представлены твердотельные накопители Samsung 980 NVMe — без DRAM, но с высокой скоростью Компания Samsung Electronics представила свои первые твердотельные накопители без буфера DRAM — Samsung 980 NVMe в формате M.2 2280. Несмотря на относительно доступную цену, эти решения обеспечивают весьма высокую скорость благодаря протоколу ...

Samsung расширила объем модулей памяти LPDDR5 DRAM до 16 Гбайт Компания Samsung Electronics объявила о производстве первого в отрасли 16-гигабайтного мобильного пакета LPDDR5 DRAM для своих смартфонов следующего поколения. Улучшенная версия модуля получила расширенные функции 5G и AI. За счет добавленной емкост...

Еще больше смартфонов с 16 ГБ ОЗУ. Samsung начала выпуск соответствующих модулей оперативной памяти LPDDR5 DRAM Samsung Electronics объявила о начале серийного выпуска модулей оперативной памяти LPDDR5 объемом 16 ГБ для следующего поколения флагманских смартфонов. И хотя прямо этого в пресс-релизе не говорится, с полной уверенностью можно сказать, что именно ...

Samsung первой начала выпускать память DRAM с использованием сканеров EUV: отгружен первый миллион модулей Компания Samsung Electronics сообщила о преодолении знаковой вехи. Клиенты компании получили в своё распоряжение один миллион модулей памяти DDR4 на первых в мире кристаллах памяти, для выпуска которых использовались сканеры диапазона EUV. Все они п...

"Формула-1" объявила дату первых гонок сезона-2020 На "Ред Булл Ринге" пройдут сразу два этапа "Формулы-1" — Гран-при Австрии и Гран-при Штирии. Затем в календаре значится Гран-при Венгрии. Гран-при Великобритании и Гран-при 70-летия состоятся на "Сильверстоуне" в Великобритании. Следующие эта...

IBM объявила о первых крупных сокращениях после смены главы компании Американская International Business Machines (IBM), крупнейший мировой поставщик компьютерных услуг, объявила о первых крупных сокращениях персонала после смены главы компании....

Micron начинает поставки образцов первых в отрасли многокристальных компонентов, в которых хранилище UFS объединено с памятью LPDDR5 Компания Micron сообщила о начале поставок многокристальных компонентов uMCP, в которых хранилище на базе флеш-памяти, соответствующее спецификации UFS, объединено с оперативной памятью LPDDR5. Эти компактные компоненты с низким энергопотреблением п...

Huawei объявила сроки запуска первых мощных смартфонов на собственной "замене Android" В мае 2019 года правительство США ввело санкции против Huawei, в результате чего компании, помимо всего прочего, было запрещено использовать Android в версии с сервисами Google. Тогда, спустя непродолжительное время, китайский техногигант анонсирова...

Samsung объявила дату анонса недорогого флагмана Samsung Galaxy S20 FE Вчера в сети появилась информация о цене и дизайне нового недорогого флагманского смартфона Samsung. Сейчас же южнокорейская компания решила раскрыть дату анонса Samsung Galaxy S20 FE. Так, согласно опубликованной представителями Samsung информации,...

Samsung официально объявила дату презентации Samsung Galaxy S21 Южнокорейская компания Samsung официально сообщила дату презентации новой линейки флагманских смартфонов Samsung Galaxy S21....

Сборная России объявила состав на игру с Чехией. Сорокин с первых минут займет место в воротах Тренерский штаб сборной России во главе с Алексеем Кудашовым определился со стартовыми сочетаниями на матч Евротура против Чехии. Подробнее…...

Samsung начал производство чипов EUV Компания Samsung Electronics объявила о запуске серийного производства на новой линии по выпуску полупроводников в Хвасоне (Hwaseong), Корея. Производственная линия V1 является первой линией Samsung, специализирующейся исключительно на выпуске полуп...

Samsung откладывает производство 3-нм чипов Из-за пандемии Covid-19 Samsung отложить массовое производство 3-нм чипов. Компания не смогла завершить установку оборудования для производственных линий вовремя из-за сбоя, вызванного коронавирусом. Южнокорейский гигант должен был начать массовое п...

Samsung начала производство 6-нм мобильных чипов Компания Samsung объявила о запуске новой производственной линии V1 для полупроводниковой продукции. В дополнение к актуальным 7-нм решениям корпорация начнёт поставки чипов, изготовленных по более [...]...

Qualcomm временно отказалась от чипов Samsung После того, как Qualcomm перешла на TSMC и анонсировала Snapdragon 8 Plus Gen 1, предполагалось, что производитель процессора и Samsung никогда не договорятся о будущем партнерстве. К счастью, поскольку на следующей неделе 3-нм техпроцесс Samsung GA...

AMD может передать производство чипов компании Samsung Компании Samsung и AMD тесно сотрудничают в разработке чипсетов Exynos с графическими процессорами AMD RDNA 2. Согласно новым отчетам, партнерство может пойти еще дальше.По неофициальной информации от южнокорейского технологического форума Clien, AM...

Samsung запустила массовое производство 3-нанометровых чипов Компания Samsung сегодня сообщила о начале массового производства 3-нанометровых чипов. «Компания Samsung Electronics сегодня объявляет о запуске 3-нанометрового технологического процесса с применением транзисторной архитектуры Gate-All-Around...

Samsung может стать производителем чипов М1 для Apple Apple уже анонсировала свой новый чипсет M1 как часть Apple Silicon, и с этим официально начала процесс перехода от Intel к собственным чипсетам для устройств Mac....

Samsung пропустит 4-нм техпроцесс и перейдёт к производству 3-нм чипов Ещё в 2017 году Samsung объявила о своих планах по производству от 10-нм до 4-нм чипов. Южнокорейский уже выпустил 10-нм и 7-нм процессы, а в ближайшее время ожидается выход 5-нм чипа. Первым таким процессором станет Exynos 992, который дебютирует в...

Samsung отказывается от своих чипов Exynos во флагманах Как известно, флагманы Samsung в зависимости от региона выходят с процессорами Exynos или Snapdragon, но критика поклонников компании привела к тому, что она откажется от своих чипов....

Samsung может стать производителем чипов Apple M1 Apple анонсировала новые MacBook M1 и начала постепенный процесс перехода от процессоров Intel к чипам собственного производства. Сообщается, что в этом яблочному гиганту может помочь южнокорейский Samsung. Одно из южнокорейских СМИ сообщает, что су...

Samsung начал производство чипов с использованием 3-нм техпроцесса Компания Samsung Electronics сегодня объявила о начале массового производства чипов с использованием 3-нм техпроцесса с применением транзисторной архитектуры Gate-All-Around. Об этом сообщается в пресс-релизе компании. Первое поколение 3-нанометровы...

Зачем Apple понадобилось больше чипов, чем Samsung и Huawei Компании оценивают не только по размеру их годового дохода, числу сотрудников или величине их рыночной капитализации. В международной аналитической компании Gartner придумали еще один рейтинг, положение компаний в котором определяется их долями в со...

Samsung представила технологию производства чипов 17LPV — гибрид 14- и 28-нм Компания Samsung анонсировала новый 17-нм техпроцесс, предназначенный для производства продуктов, которые сейчас производятся с использованием планарного 28-нм техпроцесса....

Samsung строит фабрику для производства чипов стоимостью $8.1 млрд Южнокорейская компания Samsung сообщила о строительстве нового завода, на котором будут производиться мобильные чипы с применением 5-нм техпроцесса.Фабрика располагается в кампусе города Пхёнтхэк провинции Кёнгидо, в 70 км к югу от Сеула. Запустить ...

Samsung первым в мире начал массовое производство 3 нм чипов Сегодня южнокорейская компания Samsung объявила о начале массового выпуска 3 нм функциональных узлов микропроцессоров. Таким образом, Samsung стал первым в мире производителем, объявившим о массовом производстве 3 нм чипов. Крупнейший в мире произво...

Samsung серьёзно расширяет выпуск чипов с использованием сканеров EUV Компания Samsung первой начала использовать сканеры диапазона EUV для выпуска полупроводников, что произошло ещё осенью 2018 года. Но по-настоящему массовое использование техпроцессов на основе EUV-проекции происходит только сейчас. В частности, Sam...

Samsung ждёт тяжелое время из-за проблем с поставками чипов Компания Samsung отчиталась о 46 процентном росте продаж в прошлом квартале. Несомненно такой успех — заслуга удачного старта Galaxy S21. При этом Samsung открыто заявляет, что после этого успеха компанию ждёт тяжелое время, поскольку уже сейч...

Samsung приступила к закупкам оборудования для линии производства 5-нм чипов Через 10 лет компания Samsung Electronics собирается стать мировым лидером производства полупроводников. Сегодня доля Samsung на рынке контрактного производства примерно равна 10 %, а настоящий лидер ― компания TSMC ― удерживает 50 % этого рынка. Оч...

Samsung начала массовое производство 6-нм и 7-нм чипов с применением EUV-литографии Компания Samsung объявила о старте массового производства 6-нм и 7-нм чипов на основе процесса литографии EUV (Extreme Ultraviolet) на заводе V1 в городе Хвасоне, Южная Корея.По данным Samsung, объем производства 7-нм чипов с применением EUV-литогра...

Samsung запустила массовое производство 5-нм чипов и уже разрабатывает 4-нм техпроцесс Сегодня южнокорейский гигант Samsung опубликовал отчёт о работе во втором квартале текущего года. В документе содержится информация о внедрении новых технологических норм производства полупроводниковой продукции. Сообщается, в частности, что компани...

Московская Хельсинкская группа объявила сбор денег. Чтобы работать и дальше, ей нужно 1,8 миллиона рублей в год Московская Хельсинкская группа (МХГ) объявила сбор денег для того, чтобы продолжать работать. Об этом в эфире «Эха Москвы» рассказала исполнительный директор организации Светлана Астраханцева....

Samsung продолжит помогать Google в создании чипов для смартфонов Pixel Смартфоны Google Pixel 7 на фирменном микропроцессоре Google Tensor G2 поступили в продажу не так давно, а уже появилась некоторая информация касательно аппаратов следующего поколения. По сообщениям сетевых источников, будущий процессор для смартфон...

Samsung построит новый завод по выпуску 5-нм чипов для конкуренции с TSMC В настоящий момент TSMC считается лидером полупроводниковой отрасли, выпускающим чипы для клиентов по всему миру. Догнать и перегнать тайваньскую компанию планирует Samsung. Как стало известно, южнокорейский [...]...

Samsung сообщила об инфицировании коронавирусом работника на заводе по производству чипов В Южной Корее стремительно растёт число зафиксированных случаев заболевания коронавирусом SARS-CoV-2. В субботу стало известно о первом случае заражения работника на полупроводниковом заводе компании Samsung. Заболевший непосредственно не участвовал...

Samsung пропустит 4-нм техпроцесс и перейдёт сразу к 3-нанометровому производству чипов Как ожидается, в августе этого года компания Samsung запустит массовое производство чипов по нормам 5-нанометрового технологического процесса. Первым устройством, изготовленным по нормам этого техпроцесса, станет собственная мобильная система-на-чип...

Samsung начинает производство чипов на базе 3-нм техпроцесса с архитектурой Gate-All-Around Компания Samsung Electronics объявила о начале производства чипов на базе своего 3-нанометрового технологического узла с применением архитектуры транзисторов Gate-All-Around (GAA). Отметим, архитектура GAAFET, идущая на смену нынешней FinFET, разраб...

Qualcomm может отказаться от услуг Samsung по выпуску чипов Snapdragon 8 Gen 1 В числе компаний, получивших права на производство однокристальной системы Snapdragon 8 Gen 1, оказалась Samsung.Тем не менее, именно произведенные ею чипы оказались проблемными. Samsung столкнулась с высоким процентом брака при производстве —...

Samsung планирует построить 12 заводов по производству чипов за 192 млрд долларов Компания Samsung строит завод по производству микросхем в Остине, штат Техас, США, стоимость которого составляет около $17 млрд. Однако в планах южнокорейского производителя открыть всего 12 заводов по производству чипов общей стоимостью $192 млрд. ...

Intel может передать производство 7-нм чипов компаниям Samsung и TSMC Intel может передать производство некоторых своих самых передовых чипов компании TSMC или Samsung Electronics, столкнувшись с проблемами собственного производства, сообщил Bloomberg. Intel ведет такие переговоры, планируя передать производство ......

Крепитесь, вся электроника подорожает — TSMC и Samsung поднимут цены на изготовление чипов Также планирует повысить стоимость изготовления чипов и тайваньская TSMC. По слухам, последняя планирует поднять стоимость производства на 5–8 %. Не так значительно, как корейцы, но все же. Эксперты предсказывают, что вслед за этим обязательно после...

TSMC повышает цены на производство чипов, Samsung — вслед за ней. Ждем подорожания техники Итак, передовые техпроцессы (7-нм и ниже) подорожают на 10%, а техпроцесы 16-нм и толще и вовсе вырастут в цене на 20%....

Samsung сократит производство смартфонов на 30 миллионов из-за дефицита чипов, инфляции и войны в Украине Изначально компания Samsung планировала произвести около 310 миллионов смартфонов в течение текущего года...

Samsung увеличит использование чипов Exynos, чтобы снизить зависимость от сторонних поставщиков Samsung Electronics, ведущий мировой производитель смартфонов, также входит в список немногих компаний, производящих собственные чипы. В отличие от Apple, Samsung также использует чипы таких компаний, как Qualcomm и MediaTek, а также свою собственну...

Перебои в энергоснабжении в Техасе вынудили Samsung приостановить фабрику по производству полупроводниковых чипов Компания Samsung была вынуждена временно приостановить производство чипов на своей фабрике в Остине, штат Техас. Причиной тому стало отключение энергоснабжения в штате после зимней бури Uri. Сообщается, что буря оставила без электричества около 200 ...

На долю Samsung приходится половина выручки всего рынка чипов памяти для смартфонов Компания Strategy Analytics подвела итоги исследования мирового рынка чипов памяти для смартфонов в 2019 году: объём отрасли составил приблизительно $39,3 млрд. Представленные данные учитывают отгрузки флеш-накопителей NAND, а также модулей оператив...

Евросоюз хочет привлечь TSMC и Samsung к созданию передового производства чипов в Европе Европейский Союз рассматривает возможность строительства передового завода по производству полупроводников в Европе, чтобы не полагаться на США и Азию в плане технологий, лежащих в основе некоторых ключевых отраслей. Krisztian Bocsi/Bloomberg...

В «ФосАгро» зафиксировали рекорд по отгрузке апатитового концентрата Горнообогатительный комбинат компании «ФосАгро», расположенный в Мурманской области установил новый рекорд по отгрузке потребителям апатитового......

Порт планирует увеличить мощности по отгрузке зерна Балаковский речной порт в прошлом году впервые занимался отгрузкой не только зерна, но и минеральных удобрений, произведенных на местном заводе "Апатита", и продукции "Металлургического завода". Об этом сообщил генеральный директор предприятия Серге...

Intel удивила своими тестами чипов против чипов M1 от Apple С тех самых пор, как компания Apple приняла решение окончательно перейти на свою собственную платформу процессорных чипов Mac M1, компания Intel, которая ранее была наиболее крупным и активным ее партнером в этом сегменте, начала испытывать некоторы...

Остановка завода Samsung в Техасе вызовет дефицит чипов Qualcomm — поставки 5G-смартфонов сократятся Полупроводниковый завод Samsung S2 в Остине в середине февраля вынуждено приостановил работу из-за проблем с энергоснабжением во всём штате Техас. Последние исследования TrendForce показывают, что уровень загрузки производственных мощностей всего за...

Зерно меняет терминалы // НКХП упустил лидерство по отгрузке Группе ВТБ, консолидировавшей ключевые активы на рынке перевалки зерна, уже пришлось столкнуться с падением объемов переработки грузов из-за снижения экспорта российской пшеницы. Так, традиционный лидер в этом сегменте — Новороссийский комбинат хлеб...

В Литве готовится к отгрузке очередная партия СПГ от российского «Новатэка» В портовой Клайпеде в ночь на 28 января начнётся отгрузка очередной партии сжиженного природного газа от российской компании «Новатэк». По данным компании Klaipėdos naftą, в Литву доставлено 10 тыс. кубометров газа из российского порта Высоцк, сообщ...

Samsung Galaxy A21 появился на первых изображениях Компания Samsung готовит к выпуску бюджетный смартфон Galaxy A21, который придёт на смену популярного Galaxy A20. Сам производитель не сообщил никакой информации о грядущей новинке, однако в сети появились изображения Galaxy A21, благодаря которому ...

Дисплей Samsung Galaxy S20 Ultra назвали лучшим в отрасли Компания DisplayMate, занимающаяся профессиональным тестированием дисплеев, оценила работу OLED-панели нового флагмана Samsung Galaxy S20 Ultra. Новинка сумела впечатлить экспертов, которые не только отметили [...]...

Компании IBM и Samsung создали новые транзисторы, которые станут ключом к технологиям производства субнанометровых чипов На проходившей недавно в Сан-Франциско конференции IEDM представители компаний IBM и Samsung объявили об успешной разработке нового типа транзисторов, которые будут располагаться на поверхности чипа в вертикальном положении. Отметим, что на чипах вс...

Levi’s работает на Synerdocs. Экономия до 30 минут на каждой отгрузке товара Первые шаги в ЭДО Переход Levi’s на электронный документооборот начался в 2015 году с подачи ключевого оптового партнера Wildberries. Тогда интернет-магазин объявил поставщикам, что отказывается от бумажных товарных накладных ......

Samsung Galaxy M11 показали на первых «живых» снимках Вслед за утечкой спецификаций неанонсированного смартфона Galaxy M11 в руки сетевых информаторов попали и первые реальные снимки будущей новинки. Опубликованная фотосессия, источником которой стал тайваньский [...]...

Samsung Galaxy Tab A7 Lite появился на первых изображениях Следующим важным продуктом компании Samsung станет Android-планшет Galaxy Tab A7 Lite, который на днях получил сертификат китайского агенства TENAA. Сертификационный документ раскрыл как спецификации грядущей новинки, так и её дизайн. Сертификацию п...

Умер глава Samsung, превративший компанию в мирового лидера отрасли Человек, сделавший южнокорейскую компанию мировым лидером в области электроники, скончался в возрасте 78 лет....

Неанонсированный Samsung Galaxy A71 показали на первых пресс-рендерах Не так давно инсайдеры показали нам качественные рендеры Samsung Galaxy A51, а сегодня в сеть утекли пресс-рендеры более дорого Samsung Galaxy A71, который должны представить в декабре. У него такая же основная камера L-образного размещения сенсоров...

Samsung представит новый Galaxy S21 в первых числах января В последние недели ходили слухи о том, что серия Galaxy S21 может быть представлена ​​немного раньше, чем Galaxy S20. Samsung по традиции выпускает свои флагманы в феврале, а в марте их можно было купить. Возможно, следующее поколение премиальных ус...

Samsung Galaxy Z Flip привел в восторг первых пользователей Главный редактор известного ресурса XDA Developers Макс Вайнбах (Max Weinbach) на своей страничке в социальной сети Twitter поделился впечатлениями от знакомства с Samsung Galaxy Z Flip на вчерашней презентации Samsung. Второй складной смартфон Sams...

Флагманский планшет Samsung Galaxy Tab S6 5G на первых официальных изображениях Ранее компания Samsung неофициально подтвердила, что 5G-версия флагманского планшета Samsung Galaxy Tab S6 находится в разработке и будет скоро анонсирована. Если говорить точнее, то планшет с модельным номером SM-T866N прошел сертификацию Bluetooth...

Samsung QLED 8K TV одним из первых получит сертификацию 8K Association Компания Samsung объявила, что ее QLED 8K-телевизоры одними из первых будут сертифицированы организацией 8K Association (8KA), пишет ресурс Engadget. Данная сертификация подтверждает, что телевизоры обладают разрешением не менее 7680×4320 точек, обе...

Samsung Galaxy Fold 2 показали на первых фанатских рендерах С момента презентации складного смартфона Samsung Galaxy Fold прошло больше года. И хотя в продажу он поступил с задержкой, аппарат оказался настолько популярен, что первое время был в дефиците. Поэтому [...]...

Samsung Galaxy S22 Ultra показался на первых рендерах со встроенным S Pen Первые рендеры Samsung Galaxy S22 Ultra поступили к нам в руки от надежного источника @OnLeaks, поэтому вполне вероятно, что именно так будет выглядеть топовый флагман южнокорейского бренда. Имейте в виду, что даже если рендеры основаны на реальном ...

Samsung представила первые в отрасли универсальные контроллеры питания для беспроводных наушников TWS Специализация ― это почти всегда благо. В полупроводниках специализированные чипы позволяют лучше выполнять свою задачу с оптимальными затратами энергии. Такие решения более компактны и дешевле в производстве. Сегодня Samsung внесла толику специализ...

Samsung первым в отрасли создал чипы для работы в true-wireless наушниках Новые PMIC-микросхемы объединяют в себе несколько дискретных компонентов для увеличения времени автономной работы и повышения эффективности современных полностью беспроводных TWS наушников (True Wireless Stereo) Компания Samsung Electronics, мировой...

Samsung Galaxy Z Fold3 и Z Flip3 показались на первых качественных рендерах Было уже немало разговоров о грядущем Samsung Galaxy Z Fold3 с камерой под дисплеем и складным экраном, но новые «официальные» рендеры телефона, просочившиеся сегодня, противоречат этой гипотезе, явно показывая более традиционную камеру, к...

Дорогой Samsung Galaxy 20 Ultra показали на первых фото в Сети Флагманские смартфоны компания представит уже 11 февраля, а накануне презентации появляется все больше информации....

Samsung разрабатывает «первую в отрасли» память с высокой пропускной способностью и возможностями для ИИ-вычислений Новая архитектура повысит производительность системы более, чем вдвое и снизит потребление энергии более чем на 70%...

Samsung представил первые в отрасли чипы, оптимизированные для работы в полностью беспроводных наушниках Новые PMIC-микросхемы объединяют в себе несколько дискретных компонентов для увеличения времени автономной работы и повышения эффективности современных полностью беспроводных TWS наушников (True Wireless Stereo) Компания Samsung Electronics, мировой...

Samsung объявила скидки на смартфоны «для любимых» Samsung начала акцию под названием «Дарите любимым Galaxy!». Она продлится до 8 марта. Скидки, как обещает компания, могут достигать 20 тысяч рублей. В рамках акции Samsung Galaxy S21 Ultra получил скидку 9000 рублей.по промокоду «SALE». Другая моде...

Одним из первых в России "Открытие" запустил пополнение карт через Samsung Pay Для отправки денег достаточно подтверждения отпечатком пальца или PIN-кодом от приложения Samsung Pay...

Samsung Galaxy Z Flip одним из первых получил новейшую версию Android Новейшая версия операционной системы Android 10 с июньской заплаткой системы безопасности еще вчера начала распространяться для пользователей глобальных версий первого нового складного смартфона Sasmung Galaxy Z Flip. Это подтверждает предыдущую инф...

Samsung Galaxy S11 появился на первых «живых» фотографиях с L-образной квадро-камерой В конце ноября OnLeaks поделился рендерами Galaxy S11, а сейчас китайский инсайдер Ice Universe опубликовал первые «живые» фотографии новинки....

Samsung объявила, что продала миллион сгибаемых смартфонов У главы Samsung Electronics поинтересовались, не беспокоит ли компанию тот факт, что она продаёт за 2000 долларов бета-версию устройства. Он в ответ раскрыл объём продаж....

Samsung объявила дату проведения Unpacked Part 2 Как все изначально и предполагали, Южнокорейский технический гигант в лице Samsung собирается в этом году провести ещё одно собственное мероприятие Unpacked, в рамках которого будет представлено ещё несколько новых продуктов. Вдаваясь в подробности,...

Samsung объявила дату анонса нового флагмана Вчера появились неофициальные сведения о том, что южнокорейская компания Samsung официально анонсирует свой следующий флагманский смартфон на мероприятии, которое пройдет 11 февраля 2020 года. Лидер рынка смартфонов решил не задерживать с официальны...

Samsung объявила сроки закрытия своей VR-платформы Ещё совсем недавно Samsung активно продвигала собственную платформу виртуальной реальности XR для создания и просмотра 360-градусного видео. Однако теперь стало известно о намерении [...]...

Samsung объявила о старте продаж планшета Galaxy Tab S6 5G Компания Samsung официально объявила о начале продаж первого смартфона со Snapdragon 855 и 5G – Samsung Galaxy Tab S6 5G. Компания продвигает планшет как развлекательный центр с поддержкой сетей нового поколения 5G. У планшета имеется четыре д...

Samsung объявила о скидках до 20% на свои смартфоны в России Полностью беспроводные наушники станут подарком при покупке Galaxy Note10 Lite, Galaxy S10+ и Galaxy S10....

Samsung объявила цены на два новых бюджетных смартфона для РФ Обе модели смартфонов отличаются тем, что имеют емкие аккумуляторы, обеспечивающие больше времени автономной работы....

Samsung объявила дату презентации новых телевизоров На выставке CES 2021 Samsung показала серию QLED-телевизоров, но на этом анонсы новинок компании не закончились. Представители бренда уже назвали дату проведения весенней конференции, на которой планируется [...]...

Samsung объявила российскую «Чёрную пятницу» со скидками до 50% Компания Samsung Electronics подтвердила, что принимает участие в распродаже в честь «Чёрной пятницы», которая продлится до 5 декабря этого года. В рамках акции покупателей ждут огромные скидки на мобильную технику Samsung: смартфоны, пл...

Samsung объявила дату проведения Galaxy Unpacked Ещё начиная с декабря прошлого года мы с вами в курсе того, что южнокорейский технический гигант в лице Samsung собирается представить свои новые смартфоны 14 января. И хоть уверенность в этом была достаточно велика, всё-же официально это не было по...

Samsung скоро начнёт выпуск первых в мире OLED-дисплеев для ноутбуков c 90Гц Компания Samsung Display объявила о том, что до конца текущего квартала организует массовое производство первых в мире панелей на органических светодиодах (OLED) с частотой обновления 90 Гц....

Samsung объявила российские цены на два новых бюджетных смартфона Буквально сегодня южнокорейская компания Samsung объявила российские цены на два новых бюджетных смартфона. Речь о Galaxy M21 и M11. Напомним , что M21 и M11 оснащены энергоемкими аккумуляторами на 6000 и 5000 мАч соответственно, с поддержкой быстро...

Samsung объявила о возвращении к нормальной работе завода в Сиане Компания Samsung Electronics заявила о том, что производственная площадка по выпуску полупроводников в китайском Сиане вернулась к нормальной работе....

Samsung объявила дату презентации процессора для смартфонов Galaxy S21 Samsung наконец объявила дату презентации процессора Exynos 2100 для смартфонов Galaxy S21. Мероприятие состоится 12 января 2021 года. Об этом компания сообщила в Twitter-аккаунте Samsung Exynos. В надписи указано: «Полностью новый Exynos — скоро». ...

Samsung в России объявила цены на два новых бюджетных смартфона Российский офис компании Samsung 15 апреля объявил цены на новые недорогие смартфоны Galaxy M21 и Galaxy M11. Эти два аппарата своих владельцев порадуют аккумулятором большой емкости. Как пишет «АСТЕРА», в Galaxy M21 установили 6,4-дюймовый дисплей ...

Samsung объявила российскую цену бюджетного смартфона Galaxy M01 Samsung представила смартфон начального уровня под названием Galaxy M01. Отличительными чертами бюджетной новинки стали сравнительно компактные габариты, процессор Snapdragon и аккумулятор на 4000 мАч, [...]...

Samsung объявила дату анонса недорогих смартфонов Galaxy A52 и A72 Сегодня компания Samsung объявила дату онлайн-мероприятия Unpacked или Galaxy Awesome Unpacked. В его рамках южнокорейский гигант анонсирует недорогие смартфоны Galaxy A52 и A72. Компания разместила на YouTube видео, в котором и объявляется дата про...

Дисплей за $683 миллиона: Samsung выставил Apple неустойку Эта сумма многократно превзошла прогнозируемые аналитиками $200 млн. Компания Apple выплатила Samsung 800 млрд корейских вон (около $683 млн) штрафа за невыполнение контракта на поставку OLED-дисплеев для iPhone. Существенное снижение уровня продаж ...

В Украине стартовали продажи Samsung Galaxy M31s: для первых покупателей — специальная сниженная цена Его стоимость 8 499 гривен, но первые покупатели смогут сэкономить и купить смартфон по специальной цене 7 799 гривен....

Samsung Galaxy Note 21 Ultra на первых рендерах: знакомая камера и фирменный стилус S Pen в комплекте Компания Samsung еще не успела представить флагманы Galaxy S21, а ресурс LetsGoDigital уже показал рендеры Galaxy Note 21 Ultra 5G, который дебютирует лишь во втором полугодии....

Samsung объявила дату выхода премиум-хромбука Galaxy Chromebook Стала известна официальная дата старта продаж премиального хромбука компании Samsung. Подтверждая сообщения источников, компания объявила, что Galaxy Chromebook поступит в продажу 6 апреля. Dieter Bohn/The Verge...

Samsung объявила дату презентации флагманской линейки смартфонов Galaxy S21 Компания Samsung официально подтвердила дату проведения мероприятия Galaxy Unpacked 2021. Из-за продолжающейся пандемии коронавируса мероприятие пройдет в виртуальном формате. Слоган, под которым пройдет презентация — «Welcome the Everyday Epi...

Компания Samsung объявила о поддержке блокчейна Stellar в линейке Galaxy Компания Samsung объявила о поддержке блокчейна Stellar на крипто-площадку Blockchain Keystore, которая теперь позволит безопасно хранить личные ключи на некоторых флагманских смартфонах со встроенным крипто-кошельком. Теперь подписывать транзакции ...

Samsung объявила дату начала продаж смартфонов Galaxy S20 в России В России официально открыт прием предварительных заказов на смартфоны нового поколения Samsung Galaxy S20, S20+ и S20 Ultra. Начало продаж флагманской линейки назначено на 13 марта....

Samsung объявила финансовые результаты первого квартала 2021 года Операционная прибыль составила 9,38 трлн вон при общем объеме продаж 65,39 трлн во, во втором полугодии рыночная обстановка для направления производства компонентов должна улучшиться...

Samsung повысит надёжность светодиодов QLED до миллиона часов Технология QLED (или QD-LED), которая обещала составить достойную конкуренцию OLED, оказалась не такой востребованной и популярной, как того хотела бы компания Samsung, которая её разработала и довела до серийного производства в телевизионной техник...

Компания Samsung продала более 1 миллиона Galaxy Fold Президент компании Samsung Electronics Янг Сон поделился статистикой продаж складного смартфона Galaxy Fold. С момента старта продаж Galaxy Fold, компания Samsung продала уже более 1 миллиона устройств. По сравнению с другими смартфонами, данная циф...

Samsung планирует поставить 4 миллиона OLED-панелей для ноутбуков По данным аналитического агентства Sigmaintell, компания Samsung планирует поставить 4 миллиона OLED-панелей для ноутбуков в грядущем 2022 году. Они станут частью компьютеров разных производителей, в частности ASUS, Lenovo и HP.Ранее в этом году сеу...

Samsung объявила финансовые результаты за первый квартал в размере 45,5 млрд долларов Компания Samsung объявила финансовые результат, за I квартал, который закончился 31 марта 2020г. Выручка компании составила 55,3 трлн вон (45,5 млрд долларов), что является положительным результатом, несмотря на скромное увеличение на 5,6% в годовом...

Samsung объявила о запуске продаж новых наушников Galaxy Buds+ в России Напомним, что буквально несколько дней назад состоялась официальная презентация Galaxy Unpacked 2020, на которой представители южнокорейского гиганта Samsung анонсировали флагманскую линейку смартфонов Galaxy S20, а также новые наушники Galaxy Buds+...

Samsung объявила о дебюте 11 января нового мобильного процессора с графикой AMD RDNA 2 Два крупнейших производителя мобильных процессоров уже представили свои флагманские чипы, теперь очередь дошла до Samsung. Qualcomm и MediaTek уже показали свои самые производительные чипы, причем Snapdragon 8 Gen 1 от Qualcomm уже устанавливается в...

Samsung объявила дату анонса Galaxy M32 с AMOLED-экраном на 90 Гц и батареей на 6000 мАч Спустя несколько месяцев слухов и утечек, компания Samsung объявила дату презентации нового бюджетного смартфона Galaxy M32....

Samsung опровергла продажу миллиона смартфонов Galaxy Fold с гибким экраном Генеральный директор Samsung Electronics мог перепутать цифры с планом по годовым продажам смартфона....

Samsung хочет поставить на рынок 2 миллиона телевизоров на Mini-LED в следующем году Текущие флагманские серии телевизоров компании Samsung используют дисплеи, в которых изображение формируется с помощью квантово-точечных светодиодов. Маркетинговым названием технологии является QLED. В то же время южнокорейский производитель работае...

До миллиона далеко: Samsung на самом деле продала 400 или 500 тысяч Galaxy Fold (но это не точно) Глава мобильного подразделения Samsung Electronics и сам не знает, каковы реальные продажи складного смартфона Galaxy Fold....

Графика уровня PlayStation 5 и Xbox Series X в смартфонах: AMD объявила об использовании GPU RDNA2 в новой SoC Samsung Exynos Компания AMD официально подтвердила, что новая однокристальная система Exynos от Samsung получит графический процессор RDNA2. Графические процессоры на основе архитектуры RDNA2 были впервые представлены в PlayStation 5 и Xbox Series X. Данную информ...

Samsung Galaxy A50 и Galaxy A40 получат Android 10 в первых числах марта Сеульцы удивили всех, выпустив Android 10 для Galaxy S10, Note 10 и Galaxy M20/M30 намного раньше, чем ожидалось. На самом деле, Samsung выпустил крупное обновление для двух последних моделей через 2 месяца после начала разработки операционной систе...

Продавцы DRAM расширяют предложения LPDDR5 Производители памяти расширили свои предложения DRAM для мобильных устройств LPDDR5, что позволит включать такую память в смартфоны среднего класса, обладающие поддержкой 5G. Samsung Electronics первой представила память LPDDR5. После выпуска своих ...

SK hynix начинает производство DRAM с использованием EUV-литографии Компания SK hynix объявила, что в этом месяце она начала массовое производство мобильной памяти DRAM LPDDR4 ёмкостью 8 ГБ на основе технологии 1a-нм, которая является четвертым поколением 10-нм техпроцесса. Новые чипы стабильно работают на скорости ...

Новая техника атаки RowHammer на DRAM-память Компания Google представила "Half-Double", новую технику атак класса RowHammer, позволяющих изменить содержимое отдельных битов динамической оперативной памяти (DRAM). Атака воспроизводится на некоторых современных чипах DRAM, производители которых ...

Микрон увеличивает выпуск DDR4 DRAM по нормам 1z нм Со ссылкой на отраслевые источники сайт DigiTimes сообщил, что компания Micron наращивает производство памяти DDR4 DRAM по нормам 1z нм. Это обозначение соответствует диапазону 12-14 нм, а соответствующий техпроцесс является наиболее передовым, осво...

Новый автомобиль на "автомате" обойдется в 1,7 миллиона рублей, на "механике" - 1,28 миллиона Марка KIA оказалась наиболее представленной среди всех авто с автоматической коробкой передач. Второе место разделили Hyundai и Volkswagen, замыкает тройку Toyota....

SK Hynix не отказалась от планов по выпуску DRAM с применением EUV-литографии В октябре прошлого года стало понятно, что корейская компания SK Hynix готова к внедрению литографии со сверхжёстким ультрафиолетовым излучением (EUV) при производстве микросхем оперативной памяти. Предполагалось, что SK Hynix к началу 2021 года осв...

В твердотельных накопителях WD Black SN770 не используется кэширование в DRAM Компания Western Digital расширила серию твердотельных накопителей WD Black моделью SN770. Это накопитель типоразмера M.2, оснащенный интерфейсом PCIe Gen4 x4. Он предложен объемом 250 ГБ, 500 ГБ, 1 ТБ и 2 ТБ. В накопителях WD Black SN770 не использ...

На рынке автомобильной памяти DRAM ожидается взрывной рост Компания TrendForce проанализировала обстановку на мировом рынке оперативной памяти DRAM, предназначенной для использования в составе различных автомобильных систем....

По мнению TrendForce, цены на DRAM вступают в период спада Специалисты аналитической компании TrendForce полагают, что контрактные цены на память DRAM выйдут из периода повышения, который длился три квартала, и начнут снижаться уже в текущем квартале, По сравнению с третьим кварталом они уменьшатся на 3-8%....

ChangXin стала первым китайским поставщиком памяти DRAM Китайская компания ChangXin Memory Technologies утверждает, что она стала первым и единственным местным поставщиком памяти DRAM в стране. Отметим, эта компания была основана в 2016 году и ранее была известна под названием Innotron Memory. После того...

WD считает, что новые типы памяти не заменят DRAM или NAND В последнее время многие говорят о памяти типа storage class (SCM). Она быстрее традиционной флеш-памяти, но медленнее DRAM. На роль SCM претендуют различные технологии: 3D XPoint, PCM, MRAM, FeRAM, STT-RAM и другие. Компания Western Digital, один и...

Blacksmith - новая атака на память DRAM и чипы DDR4 Группа исследователей из Швейцарской высшей технической школы Цюриха, Амстердамского свободного университета и компании Qualcomm опубликовали новый метод атаки класса RowHammer, позволяющий изменить содержимое отдельных битов динамической оперативно...

SK hynix выпустила первый в мире модуль DDR5 DRAM Продукт ориентирован на платформы машинного обучения, искусственного интеллекта и системы хранения данных. Впервые о разработке 16 Гбит DDR5 модуля в SK hynix заявили в ноябре 2018 года. Планки DDR5 (скорость передачи данных 4800-5600 Мбит/с) в 1,8 ...

В 2020 году начнет дорожать графическая память DRAM Специалисты компании DRAMeXchange считают, что в первом квартале следующего года вырастет цена на графическую память DRAM....

Средняя цена памяти DRAM в следующем квартале снизится на 8-13% Специалисты аналитической компании TrendForce ожидают, что в текущем квартале снижение средней цены продажи микросхем памяти DRAM составит 3-8%, а в первом квартале 2022 года оно достигнет 8-13%. В обоих случаях подразумевается сравнение с предыдущи...

Reuters: Саудовская Аравия готова сократить добычу нефти на четыре миллиона баррелей, Россия — на два миллиона Власти Саудовской Аравии готовы сократить нефтедобычу максимум на четыре миллиона баррелей в сутки, но только с рекордного уровня добычи 12,3 миллиона баррелей в сутки, достигнутого в апреле. Об этом сообщает Reuters со ссылкой на источник....

Аналитики TrendForce спрогнозировали, что произойдет с ценой на DRAM в следующем квартале Для рынка памяти DRAM характерно более сбалансированное соотношение спроса и предложения по сравнению с рынком флеш-памяти NAND. Этим будет определяться поведение средней цены продажи DRAM в первом квартале будущего года. Во всяком случае, так счита...

Рынкам DRAM и NAND предрекли стагнацию: низкие цены на память и SSD? Выручка производителей оперативной памяти типа DRAM и энергонезависимой памяти типа NAND в этом и следующем году не достигнет рекордных уровней 2018 года, говорится в новом докладе компании IC Insights. Согласно данным аналитиков, на доходы изготови...

Новый прогноз: цены на DRAM прекратят падение раньше предсказанного Всё больше и больше признаков того, что память приближается к точке самой низкой стоимости на этапе завершения очередного витка. Совсем недавно аналитики предсказывали, что это произойдёт до конца второго квартала. Новое исследование показывает, что...

В предыдущем квартале памяти DRAM было продано на 17,65 млрд долларов По данным TrendForce, в четвертом квартале прошлого года мировая выручка на рынке DRAM достигла 17,65 млрд долларов, увеличившись в годом выражении на 1,1%. По большей части этот рост произошел из-за того, что китайские бренды смартфонов, включая Op...

Китайская CXMT готовится начать производство DRAM по новому 17-нм техпроцессу Компания ChangXin Memory Technologies (CXMT), ранее известная как Innotron, готовится начать производство динамической памяти с произвольным доступом (DRAM) с использованием технологического процесса 17 нм (10G3). Новая технология использует ряд инн...

Твердотельные накопители IRDM M.2 оснащены интерфейсом PCIe 3x4 и буфером DRAM Компания Wilk Elektronik SA, которой принадлежит марки Goodram и IRDM, представила новую линейку твердотельных накопителей IRDM M.2, оснащенных интерфейсом PCIe 3x4 и буфером DRAM. Накопители поддерживают протокол NVMe 1.3. Как утверждается, эти нак...

Samsung объявила о мероприятии Galaxy Awesome Unpacked 17 марта: ждём Galaxy A52 и Galaxy A72 Компания Samsung опубликовала тизер с приглашением на презентацию Galaxy Awesome Unpacked, которая состоится 17 марта....

По прогнозу TrendForce, контрактные цены на серверную память DRAM скоро вырастут на 10-15% По данным TrendForce, за период с третьего квартала 2020 года доля мощностей, выделенных производителями для выпуска серверной памяти DRAM, сократилась примерно до 30% от всех производственных мощностей, на которых можно изготавливать кристаллы DRAM...

Британские ученые придумали память, в которой объединены достоинства DRAM и NAND Исследователи из Ланкастерского университета (Великобритания) разработали новую энергонезависимую память. Как утверждается, для стирания и записи информации в этой памяти хватает в сто раз меньше энергии, чем в случае памяти NAND или DRAM. При этом ...

Xbox Series X получит SSD на контроллере Phison E19: всего лишь 3,7 Гбайт/с и без DRAM Несколько дней назад стало известно, что твердотельный накопитель консоли Xbox Series X будет построен на контроллере Phison, но на каком именно, не уточнялось. Теперь же из профиля LinkedIn одного из разработчиков ПО, работавшего в Phison, стало из...

SK Hynix запускает производство модулей памяти стандарта LPDDR5 DRAM объемом 18 ГБ SK Hynix объявила о начале массового производства модулей памяти стандарта LPDDR5 объемом 18 ГБ для мобильных устройств, на данный момент это самый большой объем памяти представленный в данной отрасли. Новые модули памяти будут устанавливаться на ус...

Завод Tsinghua по производству китайской DRAM начнёт выдавать продукцию в 2022 году Китайский холдинг Tsinghua Unigroup уже выпускает твердотельную память 3D NAND силами дочерней компании YMTC, а вот с выпуском оперативной памяти дела обстоят не так хорошо. Завод в Чунцине будет построен позже, чем ожидалось, поскольку в планы прои...

Выпуск новых видеокарт и игровых приставок повысит спрос на графическую память DRAM По мнению специалистов TrendForce, исследовательского подразделения компании DRAMeXchange, ожидаемый в этом году выпуск новых видеокарт и игровых приставок повысит спрос на графическую память DRAM. Насколько известно, в третьем квартале этого года A...

Компания Micron первой объединяет в одной микросхеме память LPDDR5 DRAM и UFS 3.1 NAND Компания Micron Technology представила uMCP5 — первое в отрасли многокристальное решение, в одном корпусе которого упакована флеш-память NAND, контроллер UFS 3.1 и оперативная память с пониженным энергопотреблением LPDDR5 DRAM. Изделие уже гот...

Ожидается, что после сильного роста цены на DRAM в этом квартале немного снизятся Цены на DRAM выросли за первые восемь месяцев 2021 года на 41%. Однако в сентябре средняя цена продажи DRAM снизилась на 3%. Сильный рост и отскок рынка ожидались в 2020 году после очень трудного 2019 года, когда средняя цена продажи DRAM упала на 4...

SK hynix начинает серийный выпуск микросхем мобильной памяти DRAM LPDDR5 объемом 18 ГБ Компания SK hynix объявила о начале серийного производства микросхем мобильной памяти DRAM LPDDR5 объёмом 18 ГБ, который является максимальным в отрасли. Скорость работы новой памяти достигает 6400 Мбит/с. Это примерно на 20% больше максимальной ско...

SK Hynix ожидает дефицита памяти DRAM и планирует ускорить ввод нового завода Пандемия коронавируса SARS-CoV-2 и вызванная ею изоляция граждан увеличили нагрузки на центры по обработке данных и удалённые сервисы. Возникла насущная потребность в расширении мощностей и в комплектации серверов. В частности, ожидается рост спроса...

Micron получила лицензию на поставки микросхем памяти DRAM и NAND компании Huawei Включение Huawei в чёрный список и вытекающие из этого ограничения значительно подпортили жизнь не только китайскому промышленному гиганту, но и усложнили американским компаниям ведение бизнеса с Huawei. Тем не менее министерство торговли США позвол...

Цены на память DRAM будут расти в течение всего 2021 года, прогнозируют аналитики По данным ресурса DigiTimes, в течение всего 2021 года ожидается рост цен на оперативную память DRAM, поскольку Samsung, SK Hynix и Micron не планируют увеличивать объёмы её производства несмотря на возросший уровень спроса. Источник сообщает, что п...

SK Hynix представила память HBM3 — самая быстрая память DRAM в истории со скоростью почти 1 ТБ/с Кроме того, это первый рабочий вариант памяти HBM3, следующее поколение после HBM2E, массовое производство которой началось летом 2020 года. Пропускная способность памяти SK Hynix HBM3 равна 819 ГБ/с, что почти на 80% быстрее, чем у HBM2E. В теории,...

Число заболевших COVID-19 превысило 3 миллиона 344 тысячи человек, умерли около 239 тысяч, выздоровели более 1 миллиона 53 тысяч заболевших Коронавирусной инфекцией по состоянию на утро 2 мая в мире заражены уже более 3 миллионов 344 тысяч человек....

Samsung Money – дебетовая карта с интеграцией в Samsung Pay и программой лояльности Samsung Rewards Компания Samsung поделилась дополнительными сведениями о своей грядущей программе дебетовых карт Samsung Money. Она запускается вместе с партнёром SoFi и будет напрямую связана с существующим приложением Samsung Pay. Фактически Samsung Money предлож...

AMD: в период дефицита не до бюджетных чипов По признанию Лизы Су, в условиях острой нехватки микроэлектронных компонентов компания уделяет приоритетное внимание поставкам процессоров и графических плат с максимальной маржинальностью. На конференции J.P. Morgan генеральный директор компании AM...

Apple готовится к выпуску 5-нм чипов Согласно двум новым отчетам, контрактные производители Apple такие, как Compal Electronics и Wistron присоединяются к Foxconn в планировании восстановления уровней производства к концу марта. Производитель Apple A-серии TSMC также готовится начать в...

TSMC уже ведёт разработку 2-нм чипов По данным портала DigTimes компания TSMC уже ведёт разработку чипов на основе 2-нм техпроцесса, параллельно с этим разрабатывая чипы на основе 3-нм техпроцесса. Судя по всему, на данном этапе 2-нм чип является всего лишь теорией, однако работы по ра...

МТС вложила $10 млн в американского производителя ИИ-чипов МТС инвестировала $10 млн в Kneron, американского разработчиков чипов для искусственного интеллекта. По условия сделки МТС станет эксклюзивным дистрибутором продукции Kneron в России и будет использовать ее технологии в своих разработках....

В США запущено производство GaN-чипов для 5G-оборудования Поставщик полупроводниковых компонентов из Нидерландов NXP Semiconductors объявил о запуске в Аризоне (США) завода по производству чипов на основе нитрида галлия (GaN)....

Apple получит 80% 5-нм чипов TSMC TSMC – ведущий поставщик чипов для Apple, используемых в различных продуктах. Хотя тайваньская компания уже запустила своё 3-нм производственное предприятие и, как ожидается, внедрит транзисторы GAAFET на 2-нм узлах до конца 2023 года, основное вним...

NVidia близка к приобретению ARM-чипов от Softbank Многим идея о том, что компания NVidia может быть заинтересована в чем-то помимо графических технологий может показаться довольно необычной – однако это происходит ровно до того момента, пока пользователь не начинает более подробным образом уз...

ИТ-рынку грозит тотальный дефицит чипов? Микропроцессоры востребованы сегодня едва ли не в каждой отрасли, от добычи полезных ископаемых и логистики до медицины и сельского хозяйства. До самого последнего времени глобальные поставщики одинаково успешно ......

Дефицит чипов в мире продолжает расти Период ожидания доставки чипа с момента заказа. Данные: Susquehanna Financial, источник: Bloomberg Промежуток времени между заказом полупроводника и его доставкой составил в июне 19,3 недели. Это — на полторы недели больше, чем в мае, подсчитала Sus...

Производители чипов рекордно обогатились на их дефиците Ведущие мировые производители чипов на фоне их дефицита получили рекордную выручку по итогам I квартала 2021 года — свыше $20 млрд, подсчитали аналитики из TrendForce. Причём более половины доходов пришлось на одну компанию. Ранее основатель Tesla И...

Технические характеристики чипов Snapdragon 765 и 765G Qualcomm представила чипы Snapdragon 765 и Snapdragon 765G. Процессор Snapdragon 765G SoC с 7 нм техпроцессом и восемью ядрами. Qualcomm все еще использует процессорные ядра на базе Cortex-A76 на SD765 и SD765G. SD765 и SD765G поставляются с графиче...

Дефицит на рынке микросхем и чипов продолжится Стоит отметить, что проблемы с поставками отдельных компонентов и микросхем для высокотехнологичных отраслей, возникли не сегодня. Эта проблема преследует отрасль уже несколько кварталов. Несмотря на все усилия компаний, производящих микроэлектроник...

SK Hynix переместит производство чипов в Китай Компания SK Hynix объявила, что перенесет некоторые из своих литейных предприятий, которые используют 8-дюймовые пластины, из Южной Кореи в Китай. Этот шаг направлен на удовлетворение растущего спроса на рынке. Южнокорейский производитель микросхем ...

TSMC приступила к разработке чипов A14 для iPhone Как известно, компания TSMC является главным поставщиком процессоров для компании Apple. Именно сегодня Apple полностью опровергла слухи о приостановке производств TSMC. Более того, компания заявила, что совсем скоро TSMC приступит к производству но...

Дефицит чипов ударит по горнодобывающему сектору — ... Дефицит чипов, который давит на мировых автопроизводителей, может распространиться на сектор природных ресурсов, предупредил генеральный директор Полиметалла, что усилит инфляционное давление, уже оказываемое на отрасль. По словам Виталия Несиса, пр...

США испугались перспективы остаться без чипов для армии СМИ сообщили, что власти США опасаются перспективы остаться без чипов для армии. Дело в том, что на рынке полупроводниковой продукции существует риск дефицита из-за пандемии. В связи с неблагоприятными прогнозами Пентагон решил поддержать развитие п...

В мире дефицит компьютерных чипов, почему это так ... Возможно, интегральные схемы или, чаще всего, просто чипы, являются самым маленьким, но при этом самым требовательным продуктом, когда-либо производимым в глобальном масштабе. На фоне таких затрат и трудностей во всем мире возникла зависимость от дв...

Дефицит чипов может ударить по смартфонам Резкий рост спроса на электронику, связанный с эпидемией коронавирусом, стал причиной нехватки микроэлектронных компонентов на мировом рынке. Его первыми жертвами стали автоконцерны. В течение последних нескольких месяцев производители автомобилей и...

Автозаводы Ford остановлены из-за дефицита чипов Американский автопроизводитель Ford Motor Co. продлил приостановку работу нескольких предприятий в Северной Америке в условиях глобального дефицита полупроводников......

Нехватка чипов продолжится до 2023 года Об этом говорят достаточно часто, высказывают свои предположения разные бренды. Теперь к ним присоединилась Toshiba. Читать полную статью...

Автомобили могут подорожать из-за роста цен чипов Крупнейший в мире контрактный производитель чипов TSMC хочет поднять цены на новые автомобильные компоненты. Причина тому, скорее всего, — глобальный дефицит. Как пишут источники, подразделение TSMC Advanced Integrated Circuits (VIS), которое занима...

Мировые продажи чипов не перестают расти Мировые продажи полупроводников увеличились в ноябре прошлого года на 7% в годовом сопоставлении до $39,4 млрд, следует из обзора Ассоциации полупроводниковой......

Panasonic продаст подразделение по производству чипов за $250 млн Москва, 28 ноября - "Вести.Экономика" Корпорация Panasonic объявила о продаже своего убыточного подразделения по производству полупроводников тайваньской компании Nuvoton Technology Corp за $250 млн., сообщает ReutersБудут проданы структуры Panasoni...

США оплатит производство чипов на своей территории? Американские технические компании, в отличие от автопроизводителей, стараются избежать проблемы с поставками микрочипов и электроники. Поэтому они создали альянс, целью которого является перенос производства чипов на территорию США. Проект назвали S...

IBM: дефицит чипов может продлиться два года Президент корпорации IBM Джим Уайтхерст сегодня, 14 мая, заявил в эфире британского телеканала BBC, что дефицит чипов в мире может продлиться еще два года. По его словам, производителям чипов пока с трудом удается удовлетворять растущий спрос.Этот к...

TSMC готовится к старту производства чипов A14 Издание DigiTimes, со ссылкой на достоверные источники, сообщило сегодня, что основной поставщик процессоров A-серии, компания TSMC, готовится к запуску производства чипов A14. Данный чип будет сделан по 5-нм технологии и несмотря на ранние слухи о ...

WSJ: Huawei уже может обходиться без американских чипов Давление со стороны США на китайского телекоммуникационного гиганта Huawei возымело свои последствия. Все новые смартфоны, выпускаемые компанией с мая, полностью избавлены от американских чипов и компонентов. Базовые станции 5G теперь тоже производя...

Мировые продажи чипов за год упали почти на 20% Мировые продажи чипов в январе упали на 18,5% – до 41,33 млрд долларов по сравнению с 50,74 млрд долларов годом ранее. Об этом сообщила Ассоциация полупроводниковой промышленности США (SIA). По сравнению с декабрем 2022 года продажи уменьшились на 5...

Apple отказалась от новых чипов TSMC Некоторое время назад компания TSMC официально заявила о том, что уже работает над новым технологическим процессором в 3 нанометра, который позволит существенно снизить энергопотребление мобильных процессоров в обозримом будущем. А если учитывать, ч...

Недавние санкции США оставили Huawei без чипов Крупнейший в мире изготовитель микросхем TSMC прекратил прием заказов от популярного производителя гаджетов. Несколько дней назад Министерство торговли США сообщило об ужесточении правилах экспорта. Согласно новым поправкам для использования неамери...

Япония увеличит инвестиции в производство чипов на 82% В 2024 году Япония планирует потратить на оборудование для производства чипов $7 млрд. Как пишет Bloomberg со ссылкой на данные международной ассоциации производителей оборудования и материалов для полупроводников SEMI, благодаря этому рост в сравне...

ARM дистанцируется от IoT-технологий в контексте своих чипов Компания ARM, которая является всемирно известным производителем, а точнее, лицензиатором ARM-чипов, которые стали применяться куда как чаще в самом широком сегменте мобильных и компьютерных устройств, сегодня приняла официальное решение о том, чтоб...

Заказы чипов MediaTek от Huawei увеличились на 300% Huawei является одной из трёх крупнейших компаний-производителей смартфонов, способных создавать собственные чипсеты. Но из-за запрета США бренд не может продолжать размещать новые заказы на производство своих чипсетов HiSilicon Kirin для TSMC. Это ...

Продажи электронных чипов в мире выросли на 18% Продажи электронных чипов в мире в мае выросли и в годовом исчислении, и в месячном исчислении. По данным SIA — ассоциации ведущих мировых производителей полупроводников, в мае мировые продажи полупроводников выросли на 18% до $ 51…...

США ограничила продажу Китаю сверхмощных чипов Администрация Джо Байдена ограничила продажу Китаю сверхмощных чипов для вычислений, связанных с искусственным интеллектом......

Смартфоны Xiaomi могут подорожать из-за нехватки чипов Qualcomm, ключевой поставщик Xiaomi, изо всех сил пытается удовлетворить заказы основных брендов смартфонов. Но нехватка чипов увеличивает расходы компаний....

Apple увеличивает заказы у TSMC на поставку 5-нм чипов В феврале этого года появились сообщения о том, что TSMC сократит поставки своих чипов для Huawei. Тем не менее никакого ущерба для TSMC от этого не ожидается, так как по данным источников, образовавшийся пробел восполнит увеличение заказов со сторо...

Маск рассказал, что получат обладатели чипов Neuralink В современном обществе присутствует, как минимум, настороженность, сменяемая истериями, когда речь заходит о чипировании. Люди боятся его, как боятся всего нового, как, например, первых паровозов.......

FT: в КНР из-за расследования коррупции при производстве чипов исчезли 12 чиновников После начала расследования фактов коррупции внутри фонда государственных инвестиций в полупроводниковую отрасль КНР, из публичного поля пропали как минимум 12 чиновников. Как пишет газета Financial Times, антикоррупционный отдел ......

TSMC в следующем году запустит производство 3-нм чипов DigiTimes сообщило, что компания TSMC, готовится запустить тестовое производство 3-нанометровых чипсетов уже в конце текущего года....

TSMC построит еще четыре завода по производству чипов на 3 нм 17 июня на технологическом симпозиуме в Кремниевой долине руководство компании заявило о планах по запуску массового производства 2-нанометровых чипов к 2025 году. Компания TSMC, крупнейший в мире контрактный производитель микросхем, после завершени...

В США начались испытания чипов для вживления в человеческий мозг Американская компания Synchron, конкурент более известной Neuralink Илона Маска, начала испытания чипов для вживления в мозг. Сообщается, что начались клинические испытания первой в мире коммерческой системы мозг-компьютер, или Brain-Computer Interf...

Из-за дефицита чипов АВТОВАЗ может остановиться уже в августе Мировой кризис электронных компонентов продолжает оказывать серьезное влияние на крупнейший автозавод России. На АВТОВАЗе обсуждается решение об уходе в досрочный отпуск, сообщает «Нетипичный АВТОВАЗ». Для этого предполагается перенести три нерабочи...

Canon лишила свои картриджи чипов защиты На фоне дефицита полупроводниковых материалов и производимых на их основе микрочипов, компания Canon была вынуждена перейти к производству картриджей для принтеров без защиты от подделки....

Кулер ID-Cooling SE-234-ARGB справляется с охлаждением чипов с TDP до 200 Вт Компания ID-Cooling анонсировала новый процессорный кулер башенного типа — решение SE-234-ARGB, подходящее для чипов AMD и Intel. Изделие состоит из алюминиевого радиатора, который пронизывают четыре тепловые трубки диаметром 6 мм. Они имеют н...

Использование новых чипов Qualcomm подтвердили уже 18 брендов Речь идет о новых процессорах Snapdragon 865 и Snapdragon 765/765G, где первый является топовым чипом 2020 года, а оставшиеся два создавали для среднебюджетных моделей смартфонов....

TSMC: Дефицит чипов сохранится до 2023 года И эта пара лет покажется очень долгой, предупреждают аналитики. Крупнейшее в мире предприятие по производству чипов, Taiwan Semiconductor Manufacturing Co., подтвердило то, чего все так опасались: оно работает на пределе своей мощности, а ослабевани...

Дефицит чипов заканчивается. Что станет с ценами на смартфоны? В отрасли микроэлектроники произошел сдвиг и всемирный дефицит скоро сменится избытком чипов. Об этом рассказал зарубежный ресурс Phone Arena со ссылкой на несколько аналитических отчетов. Согласно источникам, совсем скоро чипов и процессоров в мире...

Крупнейший производитель чипов объявил о рекордном подорожании Крупнейший в мире производитель чипов — тайванская компания TSMC — объявила о повышении цен на свою продукцию на 20% с декабря. Повышение затронет микроконтроллеры, системы на кристалле, графические чипы и другую продукцию. С осени 2020 года товары ...

Российский ГЛОНАСС нельзя использовать без иностранных чипов Источник фото Умные автомобили в РФ без импортных деталей собирать не умеют. На этой неделе «АвтоВАЗ» приостановил работу одного из своих заводов из-за дефицита комплектующих. График работы предприятия на следующую неделю компания обещает ...

В Acer отмечают постепенное снижение дефицита чипов Проблема с поставками микроэлектронных компонентов обострилась в четвертом квартале. Более всего пострадали производители автомобилей: некоторые из них даже приостанавливали конвейеры. Дефицит микросхем для потребительских товаров среднего класса на...

«Группа ГАЗ» из-за дефицита чипов выпускает некомплектные автомобили «Группа ГАЗ» уже две недели выпускает недоукомплектованные автомобили, виной тому — глобальный дефицит чипов. Об этом сообщает газета «Ведомости» со ссылкой на управляющего директора ГАЗа Андрея Софонова....

При реимплантации чипов для банковских карт брак достигает 40% Реимплантация чипов для банковских карт приводит к браку до 40% случаев. Об этом заявили эксперты, которые рассказали изданию «Коммерсантъ» об особенностях процесса. Они также заявили, что экономия в этом случае будет, но не такая больша...

MediaTek обогнал Qualcomm по количеству проданных чипов Каждый раз когда вы слышите или задумываетесь о процессорах смартфонов, то что какая именно компания первой приходит вам в голову? Вероятней всего это Qualcomm, ведь данная компания действительно считается самой крупной и популярной во всём мире, ве...

TSMC получила лицензию на поставку чипов для Huawei от США Тайваньский полупроводниковый гигант TSMC, получила лицензию на поставку чипов для Huawei от США. Лицензия распространяется только на зрелые узлы процессов, а не на новейшие, которые TSMC использует для изготовления мобильных чипов. Зрелый - означае...

США планирует остановить поставки 14-нм чипов TSMC для Huawei Буквально неделю назад мы узнали, что США планируют ввести новые ограничения в отношении поставок оборудования для использования в устройствах Huawei. Теперь, похоже, это начало воплощаться в жизнь. Планы новых мер со стороны Соединённых Штатов могу...

TSMC разрабатывает 4-нанометровый техпроцесс производства чипов Генеральный директор TSMC Лю Дэйин на ежегодном собрании акционеров раскрыл планы по производству чипов по 4-нм техпроцессу. Глава тайваньской компании сообщил, что чипы с использованием 4-нм режима выйдут в 2023 году. Техпроцесс N4 станет улучшенно...

Intel потратит $20 млрд на заводы по производству чипов Технологическая корпорация Intel потратит $20 млрд на строительство двух заводов по выпуску микропроцессоров, дефицит которых наблюдается по всему миру. Предприятия появятся в американской Аризоне. О планах корпорации рассказал её генеральный директ...

СМИ: США вынудили TSMC прекратить поставки чипов для Huawei По данным источника, США нашла способ, как повлиять на TSMC и лишить китайскую компанию доступа к полупроводниковой продукции....

Компания TSMC планирует начать производство 5 нм чипов Производитель полупроводников TSMC планирует увеличить производство чипов 5 нм техпроцесса. По словам производителя, производство начнется в апреле. Чипы компании TSMC пользуются большим успехом. TSMC является эксклюзивным поставщиком Apple чипов се...

Microsoft прекратит поддержку Windows для Mac на базе ARM-чипов Программное обеспечение Boot Camp уже много лет позволяет использовать настольную операционную систему Microsoft на компьютерах Apple. Но на Mac под управлением ARM-процессоров данный функционал работать не будет. По словам Microsoft, компания решил...

Apple уже забронировала все мощности TSMC по производству 3-нм чипов Ранее сегодня мы сообщали, что Apple займёт около 80 процентов мощностей TSMC по производству 5-нм чипов в следующем году. Теперь стало известно, что калифорнийская компания уже зарезервировала все ресурсы чипмейкера, направленные на производство 3-...

Невзирая на коронавирус: MediaTek увеличивает поставки 5G-чипов Компания MediaTek, несмотря на продолжающееся распространение нового коронавируса, наращивает отгрузки чипов с поддержкой 5G. Об этом сообщает ресурс DigiTimes, ссылаясь на отраслевые источники. Спрос на мобильные процессоры MediaTek растёт. В январ...

Qualcomm получила лицензию на поставку чипов для Huawei В сентябре сообщалось, что из-за пересмотренного запрета в США тайваньская TSMC не может сотрудничать с Huawei....

Компания TSMC начала производство 5 нм мобильных чипов Компания TSMC начала рискованное производство чипов, основанных на 5 нм техпроцессе. Новая технология приведет к повышению производительности, снижению энергопотребления и увеличению плотности транзисторов. Плотность транзисторов будет увеличена на ...

Тайваньский поставщик производителей чипов объявляет о расширении GlobalWafers Co., которая поставляет кремниевые пластины производителям полупроводников, заявила, что инвестирует 3,6 миллиарда долларов в предприятия в Азии, США и Европе после неудачной попытки приобрести немецкую Siltronic AG. Воскресное объявлен...

Инженеры создали микроволновку для производства чипов с техпроцессом 2 нм Ученые модифицировали микроволновую печь для производства чипов. Статья об этом изобретении опубликована в Applied Physics Letters. С развитием электронной промышленности чипы становятся все меньше и меньше, и для их производства ......

OPPO займётся производством чипов для своих смартфонов На конференции OPPO Future Technology вице-президент компании Лиу Чанг рассказал, что в перспективе OPPO может заняться производством собственных чипов. Более того, технически корпорация уже готова к этому. [caption [...]...

В Huawei заявили, что не намерены инвестировать в производство чипов В компании отметили, что "американскому правительству следуют взглянуть на свою собственную индустрию чипов"...

AMD отбирает у Intel долю на рынке чипов для серверов Компания в последнем квартале 2021 года достигла рекордно высокой доли на рынке процессоров — 25,6%. В четвертом квартале 2021 года компания Intel уступила своему принципиальному конкуренту, Advanced Micro Devices, долю рынка процессоров для серверо...

Чем хороши MacBook Pro? Какова реальная мощь у чипов M1 Pro и M1 Max? С самого выхода чипа Apple M1 и Маков на нем — все ждали! Ну скажем так — не все, но те, которым было недостаточно мощи, оперативки или надо было подрубать мониторов побольше. В общем, была интрига где настоящие Pro — заряженные по...

Максимально за 14 лет: мировые продажи чипов рекордно обвалились Мировые продажи чипов в феврале рухнули на 20,7% до 39,7 млрд долларов по сравнению с 50 млрд долларов за тот же месяц прошлого года. Об этом сообщила Ассоциации полупроводниковой промышленности. Отмечается, что максимальное падение за 14 лет. В фев...

Разработчик чипов для мозга рассказал о проекте в России Директор АНО "Лаборатория "Сенсор-Тех" Денис Кулешов в разговоре с Pravda.Ru рассказал об проекте по имплантации микрочипов в мозг человека. Ранее СМИ сообщили о программе правительства, по которой в России будет поддержано производство и установка ...

Созданы термостабильные волноводы для сверхбыстрых оптических чипов Прозрачные оптические ситаллы уже больше полувека используют для создания зеркал телескопов, поскольку они, в отличие от многих других материалов, практически не изменяют своих размеров при нагревании, что очень важно при…...

Qualcomm не получала разрешение на поставку Huawei чипов 5G Неделю назад Qualcomm подтвердила, что подала заявку на лицензию на поставку чипов для Huawei. Теперь в отчете из Китая говорится, что компании дали зеленый сигнал для 4G вместо чипов 5G....

HiSilicon начала поставки чипов другим производителям В первую очередь речь идет о чипах для смартфонов среднего ценового сегмента и только с поддержкой сетей четвёртого поколения....

Крупнейшие автоконцерны сокращают производство из-за нехватки чипов Нехватка чипов, вызванная продолжающейся пандемией коронавирусной инфекции COVID-19, сильно ударила не только по рынку видеокарт и игровых приставок, но и автопрому. Из-за дефицита полупроводников мировые автопроизводители вынуждены сокращать объемы...

Из-за дефицита чипов Mazda сократит производство автомобилей Заявления СМИ о том, что из-за проблем с доступностью полупроводниковых компонентов автопроизводители США могут лишиться свыше $60 млрд выручки, звучали слишком абстрактно....

Panasonic продаст производство чипов тайваньской Nuvoton за $250 млн Корпорация Panasonic объявила о продаже своего убыточного подразделения по производству полупроводников тайваньской компании Nuvoton Technology Corp за $250 млн. REUTERS/Kim Kyung-Hoon...

Украинец вживил в свое тело восемь чипов Национальный Реестр Рекордов Украины зарегистрировал Павла Клеца, как самого чипированного украинца. Рекордсмен вживил семь чипов в различные части своего тела. Об этом в среду, 26 мая, сообщает Telegram-канал Политика страны. С помощью чипов Павел ...

США планируют перекрыть поставки чипов TSMC для Huawei Агентство Reuters, ссылаясь на анонимные источники, утверждает, что трения между правительствами США и Китая в последнюю неделю особенно обострились. Стороны обмениваются взаимными обвинениями по поводу того, кто виноват в распространении коронавиру...

Глава Dell: дефицит чипов продлится ещё несколько лет Нехватка полупроводников, которая приводит к дефициту чипов, используемых в производстве компьютерной техники, продлится ещё несколько лет. Об этом заявил генеральный директор и основатель Dell Майкл Делл, передаёт Handelsblatt....

Тайваньская TSMC начинает массовое производство 3-нм чипов Тайваньский технологический гигант TSMC заявил в четверг, что начал массовое производство своих 3-нанометровых чипов, которые являются одними из самых передовых на рынке. Тайваньская компания-производитель полупроводников управляет крупнейшими в мир...

Huawei получила разрешение на использование чипов Qualcomm Американский производитель чипов Qualcomm получил разрешение правительства на сотрудничество с китайской компанией Huawei. Благодаря полученной лицензии, продукция из Китая, в том числе флагманы серии P, будет комплектоваться процессорами Qualcomm....

Уязвимость Starbleed представляет угрозу для чипов FPGA Сводная группа специалистов обнаружила уязвимость, затрагивающую чипы FPGA от компании Xilinx. Эти решения используются в центрах обработки данных, IoT-устройствах, промышленном оборудовании и так далее....

Пробная партия чипов для iPhone 12 отправлена в Apple Как в Apple тестируют чип A14 (на самом деле нет) Аналитики из Тайваня узнали (вычислили?), что TSMC отправила в адрес Apple пробную партию 5-нм чипов, и что это – именно система-на-чипе, которая появится в iPhone 12 в сентябре этого года. Партия от...

Производителя чипов вынудили заплатить выкуп хакерам Сообщения о киберпреступлениях становятся все более похожи на сводки из какого-нибудь депрессивного города в стране, переживающей экономический кризис — то выкупы, то кражи, то еще какие-нибудь атаки, приводящие к колоссальному ущербу. На этот раз з...

США хотели бы производить на своей территории до 30 % передовых чипов Министр торговли США Джина Раймондо (Gina Raimondo) в ходе недавнего мероприятия подтвердила, что в качестве краткосрочных мер по борьбе с дефицитом полупроводниковых компонентов ведёт......

Apple подала в суд на бывшего главного разработчика чипов Apple хочет засудить Джерарда Уильямса — своего бывшего ключевого специалиста, архитектора чипов для iPhone и iPad...

Российские и датские учёные предложили «суперлинзу» для фотонных чипов Как бы ни был мал электрон, он тяжелее фотона, что негативно влияет на скорость распространения электрона в проводящей среде. В этом плане фотоны способны перемещаться намного быстрее, что открывает перед фотонной электроникой широкие перспективы. Н...

Массовое производство 5-нм чипов для новых iPhone стартует в ближайшие дни Компания Taiwan Semiconductor Manufacturing Company (TSMC), по сообщению ресурса DigiTimes, в ближайшее время начнёт производство чипов для смартфонов Apple iPhone следующего поколения. Фотографии Reuters...

Reuters: Intel построит в Италии завод по производству чипов Правительство Италии и Intel близки к заключению сделки в размере $5 млрд, по итогу которой американский IT-гигант намерен построить в стране первый полупроводниковый завод. Сообщение об этом появилось на сайте агентства Reuters. ......

Intel продемонстрировала образцы графических чипов Xe: размеры впечатляют В начале февраля Intel уже демонстрировала кремниевую пластину с кристаллами новых продуктов. Поскольку она оказалась в руках Раджи Кодури (Raja Koduri), отвечающего за разработку графических решений, пресса единодушно причислила новинки к семейству...

Apple столкнулась с нехваткой чипов управления питанием на iPhone 12 Сообщается, что с учетом возросшего спроса на смартфоны компания увеличила производственные заказы на 2 миллиона....

Reuters: нехватка чипов сменилась переизбытком из-за падения спроса pxhere.comГлобальная нехватка чипов сменилась переизбытком в некоторых сферах. Это произошло во время падения спроса на разную продукцию, информирует Reuters.Автор материала подчеркнул, что кризис в цепи поставок, который произошел из-за глобальной ...

Производитель микросхем TSMC построит завод по производству чипов в США TSMC объявила о своих планах на будущее. Производитель микросхем планирует построить завод в США по производству чипов на 5 нм техпроцессе. По оценкам компании, на проект потребуется около 12 миллиардов долларов. Строительство начнется в 2021 году. ...

Китайская Xiaomi откажется от чипов Qualcomm в пользу MediaTek Чтобы компенсировать уменьшение поставляемых компонентов, Xiaomi обратились к MediaTek....

Нехватка чипов ударила по поставкам флагманского смартфона Xiaomi Mi 11 Xiaomi выпустила в декабре прошлого года флагманский Mi 11 — первый в мире смартфон на процессоре Qualcomm Snapdragon 888. Устройство пользуется огромным спросом....

Ограничения США на экспорт чипов Nvidia и AMD скажутся на «Яндексе», VK и «Сбере» Им придется искать альтернативных поставщиков процессоров для голосовых ассистентов и технологии распознавания лиц...

Научный мир беспокоит перспектива широкого внедрения мозговых чипов На прошлой неделе компания Илона Маска Neuralink, занимающаяся производством нейронных имплантатов, опубликовала видео, на котором примат, которому имплантировали эту технологию, мысленно играет в игру «Понг». Картинка впечатляет, но в остальном спл...

Nissan выпустит на полмиллиона автомобилей меньше из-за нехватки чипов Дефицит микросхем, с которым столкнулись автопроизводители, может обернуться для Nissan значительным сокращением производства. По прогнозу главы компании Макото Учида, в 2021 году Nissan выпустит на полмиллиона автомобилей меньше, чем было запланиро...

Huawei планирует построить завод по производству чипов в Шанхае Соединенные Штаты включили Huawei в Entity List, но ограничения для китайского гиганта ужесточились несколько месяцев назад, что сделало практически невозможным производство или закупку чипсетов или других необходимых компонентов для компании. Но те...

Nikkei: Япония и США договорились о совместной разработке продвинутых чипов Япония и США создадут совместный исследовательский центр для разработки микрочипов нового поколения — чипов на техпроцессе 2 нм. Об этом сообщило сегодня, 29 июля, японское издание Nikkei без ссылки на источники. Министр экономики Японии Коити Хагиу...

Apple отказывается от процессоров Intel в пользу своих чипов В рамках открытия 2020 компания Apple объявила о переходе на процессоры собственной разработки в компьютерах Mac. Компания решила отказаться от чипов Intel. Первые компьютеры Apple на процессорах собственной разработки выйдут в конце 2020 года, а пе...

Для спасения от дефицита чипов Foxconn купит полупроводниковое производство Тайваньская компания Foxconn намерена радикально решить для себя проблему дефицита полупроводников. Чтобы не стоять в общей очереди к производителям она собирается купить себе завод. Это предельно прозрачный намёк на то, что кризис в полупроводников...

Придумана 3D-индуктивность для чипов: миниатюризация идёт к меломанам и связистам Катушки индуктивности или просто индуктивности трудно воспроизвести на кристалле чипа в компактном виде. Обычно они изготавливаются в виде двухмерной спирали и поэтому занимают много места. Но недавно была придумана технология, которая позволяет соз...

UL исключила смартфоны на базе чипов MediaTek из статистики PCMark На минувшей неделе появилась информация о том, что китайская компания MediaTek завышает показатели производительности своих процессоров в бенчмарках. Об этом сообщили в Anandtech. Тестирование выявило большую разницу в результатах, которые получены ...

Qualcomm прокомментировала скандал с оптимизациями чипов MediaTek под тесты На прошлой неделе ресурс Anandtech опубликовал материал, в котором привёл доказательства «оптимизации» компанией MediaTek поведения своих чипов под конкретные популярные тестовые пакеты. Данные говорят о том, что разработчик чипов позвол...

WSJ: Intel добивается субсидий на строительство предприятий по производству чипов В последний год мир столкнулся с нехваткой полупроводников, которая связана с резко возросшим спросом на эту продукцию из-за перехода мобильной связи на системы пятого поколения...

Intel покоряет рынок 5G с помощью ряда новых чипов Несмотря на то, что Intel продала Apple свой бизнес по производству модемов 5G с правами на весь пакет патентов, компания оставила за собой все наработки и продолжает создавать операторские решения для сетей следующего поколения. Сегодня компания сд...

Nissan прогнозирует годовой убыток из-за нехватки чипов для автопроизводства Сегодня японский автопроизводитель Nissan Motor отчитался о результатах своей деятельности за четвертый квартал и весь финансовый 2020 год (закончился в компании 31 марта), а также дал прогноз на 2021 финансовый год. За 2020-й убыток компании состав...

Китайцы создали видеокарту мощнее недавних чипов Nvidia и AMD Via, в прошлом один из крупнейших производителей процессоров, разработала профессиональный 7-нанометровый видеоускоритель для HPC, машинного обучения и других областей применения. Это прямой конкурент AMD MI100 и Nvidia A100, и в ряде вычислений тво...

Владелец TikTok готовится начать разработку собственных чипов Китайская ByteDance, владеющая популярной социальной сетью TikTok, изучает возможность самостоятельной разработки процессоров под собственные нужды. Такое решение связано с тем, что компания не может найти поставщиков, чьи продукты удовлетворяли бы ...

Представлена плата ECS H410H6-M7 для чипов Intel в исполнении LGA 1200 Компания ECS представила системную плату H410H6-M7, которая предназначена для создания компактных ПК на чипах Intel Core десятого поколения. Новинка характеризуется форм-фактором Micro-ATX, размерами 244:200 мм, набором логики Intel H410 Express, по...

Поставщики 5G-чипов поспособствуют росту продаж новых смартфонов Ведущие поставщики чипов для смартфонов с поддержкой мобильной связи пятого поколения (5G) обсуждают возможность снижения цен. Об этом сообщает ресурс DigiTimes, ссылаясь на отраслевые источники. Фотографии Reuters...

Назревает процессорная катастрофа: Мир чипов слишком зависит от TSMC Тайваньская компания TSMC является крупнейшим в мире производителем полупроводников. И это может стать проблемой для рынка....

Евросоюз намерен оказать многомиллиардную поддержку производителям чипов Стало известно о намерении Еврокомиссии упростить правила получения господдержки для производителей полупроводниковой продукции в Евросоюзе....

Apple готовится к отправке чипов американского производства из Азии Apple Inc. готовится начать закупку чипов для своих устройств на строящемся заводе в Аризоне, что станет важным шагом на пути к снижению зависимости компании от азиатского производства. Генеральный директор Тим Кук сообщил об этом во время внутренне...

Подержанное оборудование для производства чипов пользуется ажиотажным спросом Массовое производство полупроводниковых компонентов давно сконцентрировалось на кремниевых пластинах типоразмера 300 мм....

AMD радует выпуском нового поколения мобильных чипов Ryzen Компания-разработчик процессорных чипов AMD сегодня порадовала достаточно широкую аудиторию поклонников высокопроизводительных ноутбуков и прочих портативных устройств, поскольку наконец-то произвела анонс нового поколения мобильных процессоров AMD ...

«Новогодняя коллекция». Провал года: глобальный дефицит чипов Небывалый, неслыханный за многие годы случай: «Киберпонедельник» в США провалился. Точнее, американская розница всё же выручила за этот день немалую сумму — 10,7 млрд долл. по оценке Adobe Analytics, — но всё же на 1,4% меньше, чем годом ранее. А ве...

Тайваньские разработчики ищут партнёрства с китайскими производителями чипов Пока власти Тайваня и Южной Кореи ищут способы защититься от утечки мозгов в Китай, местный бизнес и, в частности, разработчики полупроводниковых решений сами ищут укрепления связей с производителями чипов на материке. Как отмечают наши коллеги в за...

SK Hynix будет по-новому строить многокристальные упаковки чипов Корпорация Xperi объявила о заключении нового лицензионного соглашения на патент и технологию с SK hynix, одним из крупнейших в мире производителей полупроводников. Соглашение включает в себя доступ к широкому ассортименту интеллектуальной собственн...

В России предлагают услугу по «избавлению от чипов» для привитых жителей В России появились «предприимчивые люди», заявляющие, что разработали «дечипизатор». Об этом в интервью РБК сообщил главный внештатный психиатр департамента здравоохранения Москвы Георгия Костюка, являющийся главврачом психиатрической больницы № 1 и...

Пять фактов об архитектуре графических чипов Nvidia Ampere Геймерам придется подождать появления видеоадаптеров на их основе, но некоторые выводы о будущих возможностях можно сделать уже сейчас. Архитектура видеопроцессоров Nvidia нового поколения, наконец, явлена миру. Прошло почти полтора года с тех пор, ...

Intel вложит $9 млрд в завод по производству чипов в Италии По данным неназванных источников Reuters, корпорация Intel ведет активные переговоры с властями Италии о строительстве там крупного завода по производству полупроводников c объемом инвестиций около $9 млрд.Это составляет 10% от объема, который Intel...

Гендиректор Intel: компания может отказаться от производства чипов Гендиректор Intel Боб Свон во время обсуждения финансовых результатов за второй квартал года объявил, что компания может отказаться от производства собственных чипов....

США подстрекают целый ряд стран ограничить поставки чипов в Китай США ведут переговоры с Японией, Нидерландами и Южной Кореей об ограничениях экспорта полупроводников в Китай. Об этом сегодня, 10 января, сообщает «Российская газета» со ссылкой на Yahoo Finance....

Reuters: в КНР планируют потратить еще $143 млрд на производство чипов из-за санкций США Китай планирует принять финансовый пакет помощи для производителей полупроводников на сумму более 1 трлн юаней (около $143 млрд) для противодействия санкциям США. Об этом сообщает агентство Reuters со ссылкой на три источника, знакомых с ......

Высота нового кулера Akasa для чипов AMD составляет всего 55,5 мм Компания Akasa представила процессорный охладитель со сложным обозначением AK-CC1107EP01: решение рассчитано на применение с чипами AMD. Кулер имеет высоту всего 55,5 мм. Благодаря этому он подходит для использования в компьютерах небольшого форм-фа...

В борьбе с дефицитом чипов автопроизводители США рассчитывают на помощь государства Американские автопроизводители, как и их конкуренты во всём мире, в конце прошлого года столкнулись с нехваткой полупроводниковых компонентов, которая вынудила некоторых из них остановить конвейеры и отложить вывод на рынок новых моделей. В борьбе с...

Правительство США планируют перекрыть поставки чипов TSMC для Huawei Иностранные компании, использующие американское оборудование для производства чипов, должны получить лицензию в США, прежде чем поставлять определенные чипы в Huawei. Источники отмечают, что новое правило было разработано специально для ограничения ...

Япония и Бельгия будут сотрудничать в производстве и разработке чипов Недавно основанная японская полупроводниковая компания, стремящаяся возродить японскую индустрию микросхем, во вторник подписала соглашение о сотрудничестве с бельгийской исследовательской организацией в разработке микросхем следующего поколения для...

Перебои с электроснабжением двух предприятий UMC навредили производству чипов Компания UMC располагает четырьмя предприятиями, обрабатывающими кремниевые пластины типоразмера 300 мм, но шесть из семи предприятий, специализирующихся на типоразмере 200 мм, расположены в тайваньском городе Синьчжу. Отключение электропитания навр...

Контакты

×


Переход к полной новости через: 15